Comparison of State-Preserving vs. Non-State-Preserving Leakage Control in Caches

D. Parikh, Y. Zhang, K. Sankaranarayanan, K. Skadron, and M. Stan
In Proceedings of the Second Annual Workshop on Duplicating, Deconstructing, and Debunking in conjunction with ISCA-30, June 2003, San Diego, CA.

Abstract
This paper compares the effectiveness of state-preserving and non-state-preserving techniques for leakage control in caches by comparing {\em drowsy cache} and {\em gated-V$_{ss}$} for data caches using 70nm technology parameters. To perform the comparison, we use ``HotLeakage'', a new architectural model for subthreshold and gate leakage that explicitly models the effects of temperature, voltage, and parameter variations, and has the ability to recalculate leakage currents dynamically as temperature and voltage change at runtime due to operating conditions, DVS techniques, etc.

By comparing drowsy-cache and gated-V$_{ss}$ at different L2 latencies, we are a ble to identify a range of operating parameters at which gated-V$_{ss}$ is more energy efficient than drowsy-cache, even though gated-V$_{ss}$ does not preserve data in cache lines that have been deactivated. We are also able to show potential further benefits of gated-V$_{ss}$ if an effective dynamic adaptation technique can be found.

This paper duplicates some of the findings of both the drowsy-cache and ``cache-decay'' papers, but also debunks a fairly widespread belief that state-preserving techniques are inherently superior to non-state-preserving techniques.


Available in ps or pdf
HotLeakage software and home page